CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 加法 verilog

搜索资源列表

  1. JTD

    0下载:
  2. 带左拐的交通灯设计与25进制的加法计数器,Maxplus2软件中的Verilog语言编写-Neunggok with the design of traffic lights at 229 with the addition of 25 counters, simulated software Verilog language
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:1894
    • 提供者:hujianj
  1. code

    0下载:
  2. verilog语言写的简单八位处理器。有8个模块,可进行加法运算。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7122
    • 提供者:jay
  1. fadd

    0下载:
  2. 6级流水,verilog实现浮点数的加法,其中浮点数格式符合IEEE754标准
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1942
    • 提供者:兰兰
  1. Mars_EP1C6F_Fundermental_demo(Verilog)

    1下载:
  2. FPGA开发板配套Verilog HDL代码。芯片为Mars EP1C6F。是基础实验的源码。包括加法器、减法器、乘法器、多路选择器等。-FPGA development board supporting Verilog HDL code. Chips for the Mars EP1C6F. Are the basic source experiment. Including the adder, subtraction, and multiplier, such as MUX.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1243671
    • 提供者:chenlu
  1. mul_fft_96bit

    0下载:
  2. 基于Fermat数变换的大数相乘运算的Verilog实现,可应用于RSA加法芯片中。-Fermat number transform based on multiplying large numbers operations Verilog implementation, can be applied to RSA chip.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:38986
    • 提供者:张勇奇
  1. verilog

    0下载:
  2. 带溢出的四位补码加法运算verilog代码-verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:4149
    • 提供者:刘晓芸
  1. 63535312DCTofJPEG

    0下载:
  2. 用verilog代码实现JPEG压缩编码过程中的DCT模块,用移位加法实现了乘法-Verilog code using JPEG compression encoding process to achieve the DCT module, with the shift to achieve the multiplication addition
  3. 所属分类:source in ebook

    • 发布日期:2017-04-08
    • 文件大小:1649
    • 提供者:jiang
  1. chengfa-verilog

    1下载:
  2. booth乘法器verilog代码.利用移位和加法来实现乘法-verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:141188
    • 提供者:王林
  1. Floating-Point-Adder

    1下载:
  2. 浮点数加法器IP核的vhd设计。浮点数加法运算是运输中使用最高的运算,结合vhdl和EPGA可编程技术,完成具有5线级流水线结构、符合IEEE 754浮点标准、可参数化为单、双精度的浮点数加法器。-Floating point adder design IP core vhd. Floating-point addition operation is used in most transport operations, combined with vhdl and EPGA programmab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:154913
    • 提供者:凌音
  1. Verilog

    0下载:
  2. 32位存储器Verilog附带test文件,可以在modulesim仿真 还有加法、减法器,译码器等常用Verilog器件,都附带仿真test。-Memory test with Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2571
    • 提供者:嘿若
  1. adder3

    0下载:
  2. 此源代码是基于Verilog语言的七人投票表决器 、2 个 8 位数相乘 、8 位二进制数的乘法 、同一循环的不同实现方式、使用了`include 语句的 16 位加法器 、条件编译、加法计数器中的进程、任务、测试、函数、用函数和 case语句描述的编码器、阶乘运算函数、测试程序 、顺序执行、并行执行,特别是七人投票表决器,这是我目前发现的最优的用硬件描述的源代码。-The Verilog language source code is based on the seven-vote, and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:2048
    • 提供者:王柔毅
  1. 64B_adder

    0下载:
  2. Verilog HDL 64位并行加法器,并且还含有测试文件,可供测试-Verilog HDL 64-bit parallel adder, and also contains a test file, ready for testing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:810
    • 提供者:xxz
  1. cpu

    0下载:
  2. 用verilog实现的一个32位RISC处理器,能够实现简单的移位、加法等基本操作。-Verilog implementation with a 32-bit RISC processor to achieve a simple shift, addition and other basic operations.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:19175
    • 提供者:qc
  1. adder

    1下载:
  2. 能够实现单精度浮点加法运算。输入引脚有:第一运算数,第二运算数,复位信号,时钟信号。输出信号有:运算结果,运算完成标志。(To achieve a single precision floating-point addition operations)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-18
    • 文件大小:5219328
    • 提供者:无聊人
  1. ALU

    0下载:
  2. 算术逻辑单元,可以实现加法、减法、比较、移位、与门、或门等功能(arithmetic and logic unit)
  3. 所属分类:VHDL/FPGA/Verilog

  1. pipeline_adder

    0下载:
  2. 用于快速计算32位加法,共分5级锁存器,4个8位加法器(pipeline_adder it helps you to add 32 bits swiftly if you need more information,may call me by the website account,it's really helpful)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:79872
    • 提供者:Joker13213
  1. signed_add

    0下载:
  2. 有符号定点数加法运算代码,使用Verilog HDL语言实现(Code writing in Verilog HDL,to solve the problem about signed number calculation.)
  3. 所属分类:VHDL/FPGA/Verilog

  1. float_adder

    0下载:
  2. 实现可调维度的浮点数加法运算,内涵各个子模块和testbench(Able to achieve the float numbers adding operation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:82944
    • 提供者:聪明的Jerry
  1. CPU-Pipeline

    2下载:
  2. 五级流水线的CPU的工程文件,在vivado上用verilog语言实现,包括串口,可进行简单的数学加法运算。(Five-stage pipeline CPU project files, including the serial port. vivado Verilog language. This CPU can do simple mathematical addition.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:14336
    • 提供者:Si Cheng
  1. Verilog的135个经典设计实例

    1下载:
  2. Verilog的135个经典设计实例,部分摘录如下:【例 9.23】可变模加法/减法计数器【例 11.7】自动售饮料机【例 11.6】“梁祝”乐曲演奏电路【例 11.5】交通灯控制器【例 11.2】4 位数字频率计控制模块【例 11.1】数字跑表【例 9.26】256×16 RAM 块【例 9.27】4 位串并转换器【例 11.8】多功能数字钟【例 11.9】电话计费器程序【例 12.13】CRC 编码【例 12.12】(7,4)循环码纠错译码器【例 12.10】(7,4)线性分组码译码器【例
  3. 所属分类:VHDL/FPGA/Verilog

« 12 3 »
搜珍网 www.dssz.com